/* system.h * * Machine generated for a CPU named "cpu" as defined in: * d:\NIOS_II\DE3_Q80\DE3_USB\software\project_usb_device\usb_device_syslib\..\..\..\DE3_SOPC.ptf * * Generated: 2008-07-09 10:56:20.046 * */ #ifndef __SYSTEM_H_ #define __SYSTEM_H_ /* DO NOT MODIFY THIS FILE Changing this file will have subtle consequences which will almost certainly lead to a nonfunctioning system. If you do modify this file, be aware that your changes will be overwritten and lost when this file is generated again. DO NOT MODIFY THIS FILE */ /****************************************************************************** * * * License Agreement * * * * Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * * All rights reserved. * * * * Permission is hereby granted, free of charge, to any person obtaining a * * copy of this software and associated documentation files (the "Software"), * * to deal in the Software without restriction, including without limitation * * the rights to use, copy, modify, merge, publish, distribute, sublicense, * * and/or sell copies of the Software, and to permit persons to whom the * * Software is furnished to do so, subject to the following conditions: * * * * The above copyright notice and this permission notice shall be included in * * all copies or substantial portions of the Software. * * * * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * * DEALINGS IN THE SOFTWARE. * * * * This agreement shall be governed in all respects by the laws of the State * * of California and by the laws of the United States of America. * * * ******************************************************************************/ /* * system configuration * */ #define ALT_SYSTEM_NAME "DE3_SOPC" #define ALT_CPU_NAME "cpu" #define ALT_CPU_ARCHITECTURE "altera_nios2" #define ALT_DEVICE_FAMILY "STRATIXIII" #define ALT_STDIN "/dev/jtag_uart" #define ALT_STDIN_TYPE "altera_avalon_jtag_uart" #define ALT_STDIN_BASE 0x01181020 #define ALT_STDIN_DEV jtag_uart #define ALT_STDIN_PRESENT #define ALT_STDOUT "/dev/jtag_uart" #define ALT_STDOUT_TYPE "altera_avalon_jtag_uart" #define ALT_STDOUT_BASE 0x01181020 #define ALT_STDOUT_DEV jtag_uart #define ALT_STDOUT_PRESENT #define ALT_STDERR "/dev/jtag_uart" #define ALT_STDERR_TYPE "altera_avalon_jtag_uart" #define ALT_STDERR_BASE 0x01181020 #define ALT_STDERR_DEV jtag_uart #define ALT_STDERR_PRESENT #define ALT_CPU_FREQ 100000000 #define ALT_IRQ_BASE NULL /* * processor configuration * */ #define NIOS2_CPU_IMPLEMENTATION "fast" #define NIOS2_BIG_ENDIAN 0 #define NIOS2_ICACHE_SIZE 4096 #define NIOS2_DCACHE_SIZE 2048 #define NIOS2_ICACHE_LINE_SIZE 32 #define NIOS2_ICACHE_LINE_SIZE_LOG2 5 #define NIOS2_DCACHE_LINE_SIZE 32 #define NIOS2_DCACHE_LINE_SIZE_LOG2 5 #define NIOS2_FLUSHDA_SUPPORTED #define NIOS2_EXCEPTION_ADDR 0x01140020 #define NIOS2_RESET_ADDR 0x01140000 #define NIOS2_BREAK_ADDR 0x01180820 #define NIOS2_HAS_DEBUG_STUB #define NIOS2_CPU_ID_SIZE 1 #define NIOS2_CPU_ID_VALUE 0 /* * A define for each class of peripheral * */ #define __ALTERA_AVALON_ONCHIP_MEMORY2 #define __ALTERA_AVALON_PIO #define __ALTERA_AVALON_SYSID #define __ALTERA_AVALON_TIMER #define __ALTERA_AVALON_JTAG_UART #define __ALTERA_AVALON_PLL #define __ALTERA_AVALON_CLOCK_CROSSING #define __SEG7 #define __ISP1761 /* * onchip_mem configuration * */ #define ONCHIP_MEM_NAME "/dev/onchip_mem" #define ONCHIP_MEM_TYPE "altera_avalon_onchip_memory2" #define ONCHIP_MEM_BASE 0x01140000 #define ONCHIP_MEM_SPAN 262144 #define ONCHIP_MEM_ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE 0 #define ONCHIP_MEM_RAM_BLOCK_TYPE "AUTO" #define ONCHIP_MEM_INIT_CONTENTS_FILE "onchip_mem" #define ONCHIP_MEM_NON_DEFAULT_INIT_FILE_ENABLED 0 #define ONCHIP_MEM_GUI_RAM_BLOCK_TYPE "Automatic" #define ONCHIP_MEM_WRITEABLE 1 #define ONCHIP_MEM_DUAL_PORT 0 #define ONCHIP_MEM_SIZE_VALUE 262144 #define ONCHIP_MEM_SIZE_MULTIPLE 1 #define ONCHIP_MEM_USE_SHALLOW_MEM_BLOCKS 0 #define ONCHIP_MEM_INIT_MEM_CONTENT 1 #define ONCHIP_MEM_ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR 0 #define ONCHIP_MEM_INSTANCE_ID "NONE" #define ONCHIP_MEM_READ_DURING_WRITE_MODE "DONT_CARE" #define ONCHIP_MEM_IGNORE_AUTO_BLOCK_TYPE_ASSIGNMENT 1 #define ONCHIP_MEM_CONTENTS_INFO "" #define ALT_MODULE_CLASS_onchip_mem altera_avalon_onchip_memory2 /* * pio_button configuration * */ #define PIO_BUTTON_NAME "/dev/pio_button" #define PIO_BUTTON_TYPE "altera_avalon_pio" #define PIO_BUTTON_BASE 0x00000040 #define PIO_BUTTON_SPAN 16 #define PIO_BUTTON_IRQ 3 #define PIO_BUTTON_DO_TEST_BENCH_WIRING 0 #define PIO_BUTTON_DRIVEN_SIM_VALUE 0 #define PIO_BUTTON_HAS_TRI 0 #define PIO_BUTTON_HAS_OUT 0 #define PIO_BUTTON_HAS_IN 1 #define PIO_BUTTON_CAPTURE 1 #define PIO_BUTTON_DATA_WIDTH 4 #define PIO_BUTTON_RESET_VALUE 0 #define PIO_BUTTON_EDGE_TYPE "RISING" #define PIO_BUTTON_IRQ_TYPE "EDGE" #define PIO_BUTTON_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_BUTTON_FREQ 10000000 #define ALT_MODULE_CLASS_pio_button altera_avalon_pio /* * sysid configuration * */ #define SYSID_NAME "/dev/sysid" #define SYSID_TYPE "altera_avalon_sysid" #define SYSID_BASE 0x00000090 #define SYSID_SPAN 8 #define SYSID_ID 990333190u #define SYSID_TIMESTAMP 1215569445u #define SYSID_REGENERATE_VALUES 0 #define ALT_MODULE_CLASS_sysid altera_avalon_sysid /* * timer configuration * */ #define TIMER_NAME "/dev/timer" #define TIMER_TYPE "altera_avalon_timer" #define TIMER_BASE 0x00000000 #define TIMER_SPAN 32 #define TIMER_IRQ 4 #define TIMER_ALWAYS_RUN 0 #define TIMER_FIXED_PERIOD 0 #define TIMER_SNAPSHOT 1 #define TIMER_PERIOD 1.0 #define TIMER_PERIOD_UNITS "ms" #define TIMER_RESET_OUTPUT 0 #define TIMER_TIMEOUT_PULSE_OUTPUT 0 #define TIMER_LOAD_VALUE 9999 #define TIMER_COUNTER_SIZE 32 #define TIMER_MULT 0.0010 #define TIMER_TICKS_PER_SEC 1000 #define TIMER_FREQ 10000000 #define ALT_MODULE_CLASS_timer altera_avalon_timer /* * jtag_uart configuration * */ #define JTAG_UART_NAME "/dev/jtag_uart" #define JTAG_UART_TYPE "altera_avalon_jtag_uart" #define JTAG_UART_BASE 0x01181020 #define JTAG_UART_SPAN 8 #define JTAG_UART_IRQ 0 #define JTAG_UART_WRITE_DEPTH 64 #define JTAG_UART_READ_DEPTH 64 #define JTAG_UART_WRITE_THRESHOLD 8 #define JTAG_UART_READ_THRESHOLD 8 #define JTAG_UART_READ_CHAR_STREAM "" #define JTAG_UART_SHOWASCII 1 #define JTAG_UART_READ_LE 0 #define JTAG_UART_WRITE_LE 0 #define JTAG_UART_ALTERA_SHOW_UNRELEASED_JTAG_UART_FEATURES 0 #define ALT_MODULE_CLASS_jtag_uart altera_avalon_jtag_uart /* * pio_led configuration * */ #define PIO_LED_NAME "/dev/pio_led" #define PIO_LED_TYPE "altera_avalon_pio" #define PIO_LED_BASE 0x00000050 #define PIO_LED_SPAN 16 #define PIO_LED_DO_TEST_BENCH_WIRING 0 #define PIO_LED_DRIVEN_SIM_VALUE 0 #define PIO_LED_HAS_TRI 0 #define PIO_LED_HAS_OUT 1 #define PIO_LED_HAS_IN 0 #define PIO_LED_CAPTURE 0 #define PIO_LED_DATA_WIDTH 24 #define PIO_LED_RESET_VALUE 0 #define PIO_LED_EDGE_TYPE "NONE" #define PIO_LED_IRQ_TYPE "NONE" #define PIO_LED_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_LED_FREQ 10000000 #define ALT_MODULE_CLASS_pio_led altera_avalon_pio /* * pio_slide_switch configuration * */ #define PIO_SLIDE_SWITCH_NAME "/dev/pio_slide_switch" #define PIO_SLIDE_SWITCH_TYPE "altera_avalon_pio" #define PIO_SLIDE_SWITCH_BASE 0x00000060 #define PIO_SLIDE_SWITCH_SPAN 16 #define PIO_SLIDE_SWITCH_DO_TEST_BENCH_WIRING 0 #define PIO_SLIDE_SWITCH_DRIVEN_SIM_VALUE 0 #define PIO_SLIDE_SWITCH_HAS_TRI 0 #define PIO_SLIDE_SWITCH_HAS_OUT 0 #define PIO_SLIDE_SWITCH_HAS_IN 1 #define PIO_SLIDE_SWITCH_CAPTURE 0 #define PIO_SLIDE_SWITCH_DATA_WIDTH 4 #define PIO_SLIDE_SWITCH_RESET_VALUE 0 #define PIO_SLIDE_SWITCH_EDGE_TYPE "NONE" #define PIO_SLIDE_SWITCH_IRQ_TYPE "NONE" #define PIO_SLIDE_SWITCH_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_SLIDE_SWITCH_FREQ 10000000 #define ALT_MODULE_CLASS_pio_slide_switch altera_avalon_pio /* * pio_dip_switch configuration * */ #define PIO_DIP_SWITCH_NAME "/dev/pio_dip_switch" #define PIO_DIP_SWITCH_TYPE "altera_avalon_pio" #define PIO_DIP_SWITCH_BASE 0x00000070 #define PIO_DIP_SWITCH_SPAN 16 #define PIO_DIP_SWITCH_DO_TEST_BENCH_WIRING 0 #define PIO_DIP_SWITCH_DRIVEN_SIM_VALUE 0 #define PIO_DIP_SWITCH_HAS_TRI 0 #define PIO_DIP_SWITCH_HAS_OUT 0 #define PIO_DIP_SWITCH_HAS_IN 1 #define PIO_DIP_SWITCH_CAPTURE 0 #define PIO_DIP_SWITCH_DATA_WIDTH 8 #define PIO_DIP_SWITCH_RESET_VALUE 0 #define PIO_DIP_SWITCH_EDGE_TYPE "NONE" #define PIO_DIP_SWITCH_IRQ_TYPE "NONE" #define PIO_DIP_SWITCH_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_DIP_SWITCH_FREQ 10000000 #define ALT_MODULE_CLASS_pio_dip_switch altera_avalon_pio /* * usb_reset_n configuration * */ #define USB_RESET_N_NAME "/dev/usb_reset_n" #define USB_RESET_N_TYPE "altera_avalon_pio" #define USB_RESET_N_BASE 0x00000080 #define USB_RESET_N_SPAN 16 #define USB_RESET_N_DO_TEST_BENCH_WIRING 0 #define USB_RESET_N_DRIVEN_SIM_VALUE 0 #define USB_RESET_N_HAS_TRI 0 #define USB_RESET_N_HAS_OUT 1 #define USB_RESET_N_HAS_IN 0 #define USB_RESET_N_CAPTURE 0 #define USB_RESET_N_DATA_WIDTH 1 #define USB_RESET_N_RESET_VALUE 0 #define USB_RESET_N_EDGE_TYPE "NONE" #define USB_RESET_N_IRQ_TYPE "NONE" #define USB_RESET_N_BIT_CLEARING_EDGE_REGISTER 0 #define USB_RESET_N_FREQ 10000000 #define ALT_MODULE_CLASS_usb_reset_n altera_avalon_pio /* * pll configuration * */ #define PLL_NAME "/dev/pll" #define PLL_TYPE "altera_avalon_pll" #define PLL_BASE 0x01181000 #define PLL_SPAN 32 #define PLL_ARESET "None" #define PLL_PFDENA "None" #define PLL_LOCKED "None" #define PLL_PLLENA "None" #define PLL_SCANCLK "None" #define PLL_SCANDATA "None" #define PLL_SCANREAD "None" #define PLL_SCANWRITE "None" #define PLL_SCANCLKENA "None" #define PLL_SCANACLR "None" #define PLL_SCANDATAOUT "None" #define PLL_SCANDONE "None" #define PLL_CONFIGUPDATE "None" #define PLL_PHASECOUNTERSELECT "None" #define PLL_PHASEDONE "None" #define PLL_PHASEUPDOWN "None" #define PLL_PHASESTEP "None" #define PLL_CONFIG_DONE 0 #define ALT_MODULE_CLASS_pll altera_avalon_pll /* * clock_crossing_bridge configuration * */ #define CLOCK_CROSSING_BRIDGE_NAME "/dev/clock_crossing_bridge" #define CLOCK_CROSSING_BRIDGE_TYPE "altera_avalon_clock_crossing" #define CLOCK_CROSSING_BRIDGE_BASE 0x00000000 #define CLOCK_CROSSING_BRIDGE_SPAN 256 #define CLOCK_CROSSING_BRIDGE_UPSTREAM_FIFO_DEPTH 256 #define CLOCK_CROSSING_BRIDGE_DOWNSTREAM_FIFO_DEPTH 32 #define CLOCK_CROSSING_BRIDGE_DATA_WIDTH 32 #define CLOCK_CROSSING_BRIDGE_NATIVE_ADDRESS_WIDTH 6 #define CLOCK_CROSSING_BRIDGE_USE_BYTE_ENABLE 1 #define CLOCK_CROSSING_BRIDGE_USE_BURST_COUNT 0 #define CLOCK_CROSSING_BRIDGE_MAXIMUM_BURST_SIZE 8 #define CLOCK_CROSSING_BRIDGE_UPSTREAM_USE_REGISTER 0 #define CLOCK_CROSSING_BRIDGE_DOWNSTREAM_USE_REGISTER 0 #define CLOCK_CROSSING_BRIDGE_DEVICE_FAMILY "STRATIXIII" #define ALT_MODULE_CLASS_clock_crossing_bridge altera_avalon_clock_crossing /* * seg7 configuration * */ #define SEG7_NAME "/dev/seg7" #define SEG7_TYPE "SEG7" #define SEG7_BASE 0x00000020 #define SEG7_SPAN 32 #define SEG7_TERMINATED_PORTS "" #define ALT_MODULE_CLASS_seg7 SEG7 /* * ISP1761/slave configuration * */ #define ISP1761_SLAVE_NAME "/dev/ISP1761" #define ISP1761_SLAVE_TYPE "ISP1761" #define ISP1761_SLAVE_BASE 0x01000000 #define ISP1761_SLAVE_SPAN 1048576 #define ISP1761_SLAVE_IRQ 1 #define ISP1761_SLAVE_TERMINATED_PORTS "" #define ALT_MODULE_CLASS_ISP1761 ISP1761 /* * ISP1761/slave_dc_irq configuration * */ #define ISP1761_SLAVE_DC_IRQ_NAME "/dev/ISP1761" #define ISP1761_SLAVE_DC_IRQ_TYPE "ISP1761" #define ISP1761_SLAVE_DC_IRQ_BASE 0x01181028 #define ISP1761_SLAVE_DC_IRQ_SPAN 4 #define ISP1761_SLAVE_DC_IRQ_IRQ 2 #define ISP1761_SLAVE_DC_IRQ_TERMINATED_PORTS "" #define ALT_MODULE_CLASS_ISP1761 ISP1761 /* * system library configuration * */ #define ALT_MAX_FD 32 #define ALT_SYS_CLK TIMER #define ALT_TIMESTAMP_CLK none /* * Devices associated with code sections. * */ #define ALT_TEXT_DEVICE ONCHIP_MEM #define ALT_RODATA_DEVICE ONCHIP_MEM #define ALT_RWDATA_DEVICE ONCHIP_MEM #define ALT_EXCEPTIONS_DEVICE ONCHIP_MEM #define ALT_RESET_DEVICE ONCHIP_MEM /* * The text section is initialised so no bootloader will be required. * Set a variable to tell crt0.S to provide code at the reset address and * to initialise rwdata if appropriate. */ #define ALT_NO_BOOTLOADER #endif /* __SYSTEM_H_ */