/* system.h * * Machine generated for a CPU named "cpu" as defined in: * d:\NIOS_II\DE3\DE3_SDCARD\Software\DEMO_SDCARD_syslib\..\..\DE3_SOPC.ptf * * Generated: 2008-03-05 15:35:08.671 * */ #ifndef __SYSTEM_H_ #define __SYSTEM_H_ /* DO NOT MODIFY THIS FILE Changing this file will have subtle consequences which will almost certainly lead to a nonfunctioning system. If you do modify this file, be aware that your changes will be overwritten and lost when this file is generated again. DO NOT MODIFY THIS FILE */ /****************************************************************************** * * * License Agreement * * * * Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * * All rights reserved. * * * * Permission is hereby granted, free of charge, to any person obtaining a * * copy of this software and associated documentation files (the "Software"), * * to deal in the Software without restriction, including without limitation * * the rights to use, copy, modify, merge, publish, distribute, sublicense, * * and/or sell copies of the Software, and to permit persons to whom the * * Software is furnished to do so, subject to the following conditions: * * * * The above copyright notice and this permission notice shall be included in * * all copies or substantial portions of the Software. * * * * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * * DEALINGS IN THE SOFTWARE. * * * * This agreement shall be governed in all respects by the laws of the State * * of California and by the laws of the United States of America. * * * ******************************************************************************/ /* * system configuration * */ #define ALT_SYSTEM_NAME "DE3_SOPC" #define ALT_CPU_NAME "cpu" #define ALT_CPU_ARCHITECTURE "altera_nios2" #define ALT_DEVICE_FAMILY "STRATIXIII" #define ALT_STDIN "/dev/jtag_uart" #define ALT_STDIN_TYPE "altera_avalon_jtag_uart" #define ALT_STDIN_BASE 0x00041090 #define ALT_STDIN_DEV jtag_uart #define ALT_STDIN_PRESENT #define ALT_STDOUT "/dev/jtag_uart" #define ALT_STDOUT_TYPE "altera_avalon_jtag_uart" #define ALT_STDOUT_BASE 0x00041090 #define ALT_STDOUT_DEV jtag_uart #define ALT_STDOUT_PRESENT #define ALT_STDERR "/dev/jtag_uart" #define ALT_STDERR_TYPE "altera_avalon_jtag_uart" #define ALT_STDERR_BASE 0x00041090 #define ALT_STDERR_DEV jtag_uart #define ALT_STDERR_PRESENT #define ALT_CPU_FREQ 100000000 #define ALT_IRQ_BASE NULL /* * processor configuration * */ #define NIOS2_CPU_IMPLEMENTATION "fast" #define NIOS2_BIG_ENDIAN 0 #define NIOS2_ICACHE_SIZE 4096 #define NIOS2_DCACHE_SIZE 2048 #define NIOS2_ICACHE_LINE_SIZE 32 #define NIOS2_ICACHE_LINE_SIZE_LOG2 5 #define NIOS2_DCACHE_LINE_SIZE 32 #define NIOS2_DCACHE_LINE_SIZE_LOG2 5 #define NIOS2_FLUSHDA_SUPPORTED #define NIOS2_EXCEPTION_ADDR 0x00020020 #define NIOS2_RESET_ADDR 0x00020000 #define NIOS2_BREAK_ADDR 0x00040820 #define NIOS2_HAS_DEBUG_STUB #define NIOS2_CPU_ID_SIZE 1 #define NIOS2_CPU_ID_VALUE 0 /* * A define for each class of peripheral * */ #define __ALTERA_AVALON_JTAG_UART #define __ALTERA_AVALON_ONCHIP_MEMORY2 #define __ALTERA_AVALON_SYSID #define __ALTERA_AVALON_TIMER #define __ALTERA_AVALON_PIO #define __ALTERA_AVALON_PLL /* * jtag_uart configuration * */ #define JTAG_UART_NAME "/dev/jtag_uart" #define JTAG_UART_TYPE "altera_avalon_jtag_uart" #define JTAG_UART_BASE 0x00041090 #define JTAG_UART_SPAN 8 #define JTAG_UART_IRQ 0 #define JTAG_UART_WRITE_DEPTH 64 #define JTAG_UART_READ_DEPTH 64 #define JTAG_UART_WRITE_THRESHOLD 8 #define JTAG_UART_READ_THRESHOLD 8 #define JTAG_UART_READ_CHAR_STREAM "" #define JTAG_UART_SHOWASCII 1 #define JTAG_UART_READ_LE 0 #define JTAG_UART_WRITE_LE 0 #define JTAG_UART_ALTERA_SHOW_UNRELEASED_JTAG_UART_FEATURES 0 #define ALT_MODULE_CLASS_jtag_uart altera_avalon_jtag_uart /* * onchip_mem configuration * */ #define ONCHIP_MEM_NAME "/dev/onchip_mem" #define ONCHIP_MEM_TYPE "altera_avalon_onchip_memory2" #define ONCHIP_MEM_BASE 0x00020000 #define ONCHIP_MEM_SPAN 131072 #define ONCHIP_MEM_ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE 0 #define ONCHIP_MEM_RAM_BLOCK_TYPE "AUTO" #define ONCHIP_MEM_INIT_CONTENTS_FILE "onchip_mem" #define ONCHIP_MEM_NON_DEFAULT_INIT_FILE_ENABLED 0 #define ONCHIP_MEM_GUI_RAM_BLOCK_TYPE "Automatic" #define ONCHIP_MEM_WRITEABLE 1 #define ONCHIP_MEM_DUAL_PORT 0 #define ONCHIP_MEM_SIZE_VALUE 131072 #define ONCHIP_MEM_SIZE_MULTIPLE 1 #define ONCHIP_MEM_USE_SHALLOW_MEM_BLOCKS 0 #define ONCHIP_MEM_INIT_MEM_CONTENT 1 #define ONCHIP_MEM_ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR 0 #define ONCHIP_MEM_INSTANCE_ID "NONE" #define ONCHIP_MEM_IGNORE_AUTO_BLOCK_TYPE_ASSIGNMENT 1 #define ONCHIP_MEM_CONTENTS_INFO "" #define ALT_MODULE_CLASS_onchip_mem altera_avalon_onchip_memory2 /* * sysid configuration * */ #define SYSID_NAME "/dev/sysid" #define SYSID_TYPE "altera_avalon_sysid" #define SYSID_BASE 0x00041098 #define SYSID_SPAN 8 #define SYSID_ID 1680805055u #define SYSID_TIMESTAMP 1204701113u #define SYSID_REGENERATE_VALUES 0 #define ALT_MODULE_CLASS_sysid altera_avalon_sysid /* * timer configuration * */ #define TIMER_NAME "/dev/timer" #define TIMER_TYPE "altera_avalon_timer" #define TIMER_BASE 0x00041000 #define TIMER_SPAN 32 #define TIMER_IRQ 1 #define TIMER_ALWAYS_RUN 0 #define TIMER_FIXED_PERIOD 0 #define TIMER_SNAPSHOT 1 #define TIMER_PERIOD 1.0 #define TIMER_PERIOD_UNITS "ms" #define TIMER_RESET_OUTPUT 0 #define TIMER_TIMEOUT_PULSE_OUTPUT 0 #define TIMER_LOAD_VALUE 99999 #define TIMER_MULT 0.001 #define TIMER_FREQ 100000000 #define ALT_MODULE_CLASS_timer altera_avalon_timer /* * pio_led configuration * */ #define PIO_LED_NAME "/dev/pio_led" #define PIO_LED_TYPE "altera_avalon_pio" #define PIO_LED_BASE 0x00041040 #define PIO_LED_SPAN 16 #define PIO_LED_DO_TEST_BENCH_WIRING 0 #define PIO_LED_DRIVEN_SIM_VALUE 0 #define PIO_LED_HAS_TRI 0 #define PIO_LED_HAS_OUT 1 #define PIO_LED_HAS_IN 0 #define PIO_LED_CAPTURE 0 #define PIO_LED_DATA_WIDTH 24 #define PIO_LED_EDGE_TYPE "NONE" #define PIO_LED_IRQ_TYPE "NONE" #define PIO_LED_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_LED_FREQ 100000000 #define ALT_MODULE_CLASS_pio_led altera_avalon_pio /* * pio_button configuration * */ #define PIO_BUTTON_NAME "/dev/pio_button" #define PIO_BUTTON_TYPE "altera_avalon_pio" #define PIO_BUTTON_BASE 0x00041050 #define PIO_BUTTON_SPAN 16 #define PIO_BUTTON_IRQ 2 #define PIO_BUTTON_DO_TEST_BENCH_WIRING 0 #define PIO_BUTTON_DRIVEN_SIM_VALUE 0 #define PIO_BUTTON_HAS_TRI 0 #define PIO_BUTTON_HAS_OUT 0 #define PIO_BUTTON_HAS_IN 1 #define PIO_BUTTON_CAPTURE 1 #define PIO_BUTTON_DATA_WIDTH 4 #define PIO_BUTTON_EDGE_TYPE "RISING" #define PIO_BUTTON_IRQ_TYPE "EDGE" #define PIO_BUTTON_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_BUTTON_FREQ 100000000 #define ALT_MODULE_CLASS_pio_button altera_avalon_pio /* * sd_clk configuration * */ #define SD_CLK_NAME "/dev/sd_clk" #define SD_CLK_TYPE "altera_avalon_pio" #define SD_CLK_BASE 0x00041060 #define SD_CLK_SPAN 16 #define SD_CLK_DO_TEST_BENCH_WIRING 0 #define SD_CLK_DRIVEN_SIM_VALUE 0 #define SD_CLK_HAS_TRI 0 #define SD_CLK_HAS_OUT 1 #define SD_CLK_HAS_IN 0 #define SD_CLK_CAPTURE 0 #define SD_CLK_DATA_WIDTH 1 #define SD_CLK_EDGE_TYPE "NONE" #define SD_CLK_IRQ_TYPE "NONE" #define SD_CLK_BIT_CLEARING_EDGE_REGISTER 0 #define SD_CLK_FREQ 100000000 #define ALT_MODULE_CLASS_sd_clk altera_avalon_pio /* * sd_cmd configuration * */ #define SD_CMD_NAME "/dev/sd_cmd" #define SD_CMD_TYPE "altera_avalon_pio" #define SD_CMD_BASE 0x00041070 #define SD_CMD_SPAN 16 #define SD_CMD_DO_TEST_BENCH_WIRING 0 #define SD_CMD_DRIVEN_SIM_VALUE 0 #define SD_CMD_HAS_TRI 1 #define SD_CMD_HAS_OUT 0 #define SD_CMD_HAS_IN 0 #define SD_CMD_CAPTURE 0 #define SD_CMD_DATA_WIDTH 1 #define SD_CMD_EDGE_TYPE "NONE" #define SD_CMD_IRQ_TYPE "NONE" #define SD_CMD_BIT_CLEARING_EDGE_REGISTER 0 #define SD_CMD_FREQ 100000000 #define ALT_MODULE_CLASS_sd_cmd altera_avalon_pio /* * sd_dat configuration * */ #define SD_DAT_NAME "/dev/sd_dat" #define SD_DAT_TYPE "altera_avalon_pio" #define SD_DAT_BASE 0x00041080 #define SD_DAT_SPAN 16 #define SD_DAT_DO_TEST_BENCH_WIRING 0 #define SD_DAT_DRIVEN_SIM_VALUE 0 #define SD_DAT_HAS_TRI 1 #define SD_DAT_HAS_OUT 0 #define SD_DAT_HAS_IN 0 #define SD_DAT_CAPTURE 0 #define SD_DAT_DATA_WIDTH 4 #define SD_DAT_EDGE_TYPE "NONE" #define SD_DAT_IRQ_TYPE "NONE" #define SD_DAT_BIT_CLEARING_EDGE_REGISTER 0 #define SD_DAT_FREQ 100000000 #define ALT_MODULE_CLASS_sd_dat altera_avalon_pio /* * pll configuration * */ #define PLL_NAME "/dev/pll" #define PLL_TYPE "altera_avalon_pll" #define PLL_BASE 0x00041020 #define PLL_SPAN 32 #define PLL_ARESET "None" #define PLL_PFDENA "None" #define PLL_LOCKED "None" #define PLL_PLLENA "None" #define PLL_SCANCLK "None" #define PLL_SCANDATA "None" #define PLL_SCANREAD "None" #define PLL_SCANWRITE "None" #define PLL_SCANCLKENA "None" #define PLL_SCANACLR "None" #define PLL_SCANDATAOUT "None" #define PLL_SCANDONE "None" #define PLL_CONFIGUPDATE "None" #define PLL_PHASECOUNTERSELECT "None" #define PLL_PHASEDONE "None" #define PLL_PHASEUPDOWN "None" #define PLL_PHASESTEP "None" #define PLL_CONFIG_DONE 0 #define ALT_MODULE_CLASS_pll altera_avalon_pll /* * system library configuration * */ #define ALT_MAX_FD 32 #define ALT_SYS_CLK TIMER #define ALT_TIMESTAMP_CLK none /* * Devices associated with code sections. * */ #define ALT_TEXT_DEVICE ONCHIP_MEM #define ALT_RODATA_DEVICE ONCHIP_MEM #define ALT_RWDATA_DEVICE ONCHIP_MEM #define ALT_EXCEPTIONS_DEVICE ONCHIP_MEM #define ALT_RESET_DEVICE ONCHIP_MEM /* * The text section is initialised so no bootloader will be required. * Set a variable to tell crt0.S to provide code at the reset address and * to initialise rwdata if appropriate. */ #define ALT_NO_BOOTLOADER #endif /* __SYSTEM_H_ */