"c:/altera/80/quartus/bin/jre/bin/java.exe" -Xmx512M -classpath "c:/altera/80/quartus/sopc_builder/bin/sopc_builder.jar;c:/altera/80/quartus/sopc_builder/bin/PinAssigner.jar;c:/altera/80/quartus/sopc_builder/bin/sopc_wizard.jar;c:/altera/80/quartus/sopc_builder/bin/jptf.jar" sopc_builder.sopc_builder -d"c:/altera/80/quartus/sopc_builder" -projectnameDE3_USB.qpf -projectpathD:/NIOS_II/DE3_Q80/DE3_USB --no_splash --update_classes_and_exit --quartus_dir="c:/altera/80/quartus" --sopc_perl="c:/altera/80/quartus/bin/perl" --sopc_lib_path="d:\NIOS_II\DE3_Q80\DE3_USB+C:\altera\72\ip\pci_express_compiler\lib\sopc_builder+C:\altera\72\ip\ddr3_high_perf\lib\sopc_builder+C:\altera\72\ip\ddr2_high_perf\lib\sopc_builder+C:\altera\72\ip\ddr_high_perf\lib\sopc_builder+C:\altera\72\ip\sopc_builder_ip+C:\altera\72\ip\nios2_ip+C:\altera\72\ip\triple_speed_ethernet\lib\sopc_builder+C:\altera\72\ip\pci_compiler\lib\sopc_builder+C:\altera\72\ip\ddr_ddr2_sdram\lib\sopc_builder+C:\altera\71\ip\pci_express_compiler\lib\sopc_builder+C:\altera\71\ip\ddr2_high_perf\lib\sopc_builder+C:\altera\71\ip\ddr_high_perf\lib\sopc_builder+C:\altera\71\ip\triple_speed_ethernet\lib\sopc_builder+C:\altera\71\ip\sopc_builder_ip+C:\altera\71\ip\nios2_ip+C:\altera\71\ip\pci_compiler\lib\sopc_builder+C:\altera\71\ip\ddr_ddr2_sdram\lib\sopc_builder+C:\altera\71\University_Program\components+C:\altera\72\University_Program\components+C:\altera\80\nios2eds\bin;+C:\altera\80\ip\asi\lib\ip_toolbench+C:\altera\80\quartus\common\librarian\factories+D:\NIOS_II\DE3_Q80\DE3_USB\ip\TERASIC_ISP1761\hdl+D:\NIOS_II\DE3_Q80\DE3_USB\ip\TERASIC_SEG7\hdl+C:\altera\80\ip\clipper\lib+C:\altera\80\quartus\cusp\include+C:\altera\80\quartus\cusp\include\cusp+C:\altera\80\quartus\cusp\include\cusp\fuLib+C:\altera\80\quartus\cusp\include\cusp\simlib+C:\altera\80\quartus\cusp\synthinclude+C:\altera\80\quartus\cusp\synthinclude\stlport+C:\altera\80\quartus\cusp\synthinclude\stlport\config+C:\altera\80\quartus\cusp\synthinclude\stlport\stl+C:\altera\80\quartus\cusp\synthinclude\stlport\using+C:\altera\80\quartus\cusp\synthinclude\stlport\wrap_std+C:\altera\80\quartus\cusp\systemc\include\sysc\communication+C:\altera\80\quartus\cusp\systemc\include\sysc\datatypes\bit+C:\altera\80\quartus\cusp\systemc\include\sysc\datatypes\fx+C:\altera\80\quartus\cusp\systemc\include\sysc\datatypes\int+C:\altera\80\quartus\cusp\systemc\include\sysc\datatypes\misc+C:\altera\80\quartus\cusp\systemc\include\sysc\kernel+C:\altera\80\quartus\cusp\systemc\include\sysc\tracing+C:\altera\80\quartus\cusp\systemc\include\sysc\utils+C:\altera\80\quartus\cusp\systemc\include+C:\altera\80\ip\clipper\lib\ip_toolbench+C:\altera\80\ip\common\ip_toolbench\v1.3.0\bin+C:\altera\80\ip\common\ip_toolbench\v1.3.0\bin\util+C:\altera\80\ip\common\lib+C:\altera\80\ip\color_plane_sequencer\lib+C:\altera\80\ip\color_plane_sequencer\lib\ip_toolbench+C:\altera\80\ip\chroma_resampler\lib+C:\altera\80\ip\chroma_resampler\lib\ip_toolbench+C:\altera\80\ip\csc\lib+C:\altera\80\ip\csc\lib\ip_toolbench+C:\altera\80\ip\clocked_video_input\lib\ip_toolbench+C:\altera\80\ip\deinterlacer\lib+C:\altera\80\ip\deinterlacer\lib\ip_toolbench+C:\altera\80\ip\fir_filter_2d\lib+C:\altera\80\ip\fir_filter_2d\lib\ip_toolbench+C:\altera\80\ip\gamma_corrector\lib+C:\altera\80\ip\gamma_corrector\lib\ip_toolbench+C:\altera\80\ip\clocked_video_output\lib\ip_toolbench+C:\altera\80\ip\line_buffer_compiler\lib+C:\altera\80\ip\line_buffer_compiler\lib\ip_toolbench+C:\altera\80\ip\median_filter_2d\lib+C:\altera\80\ip\median_filter_2d\lib\ip_toolbench+C:\altera\80\ip\alpha_blending_mixer\lib+C:\altera\80\ip\alpha_blending_mixer\lib\ip_toolbench+C:\altera\80\ip\scaler\lib+C:\altera\80\ip\scaler\lib\ip_toolbench+C:\altera\80\ip\frame_buffer\lib+C:\altera\80\ip\frame_buffer\lib\ip_toolbench+C:\altera\80\ip\sopc_builder_ip+C:\altera\80\quartus\sopc_builder\model\lib+C:\altera\80\ip\sopc_builder_ip\altera_avalon_dc_fifo+C:\altera\80\ip\sopc_builder_ip\altera_avalon_multi_channel_shared_fifo+C:\altera\80\ip\sopc_builder_ip\altera_avalon_packets_to_master+C:\altera\80\ip\pci_compiler\lib\ip_toolbench+C:\altera\80\ip\pci_compiler\lib\sopc_builder+C:\altera\80\ip\pci_express_compiler\lib\ip_toolbench+C:\altera\80\ip\pci_express_compiler\lib\sopc_builder+C:\altera\80\ip\sopc_builder_ip\altera_avalon_pixel_converter+C:\altera\80\ip\sopc_builder_ip\altera_avalon_remote_update_cycloneiii+C:\altera\80\ip\sopc_builder_ip\altera_avalon_round_robin_scheduler+C:\altera\80\ip\sopc_builder_ip\altera_avalon_sc_fifo+C:\altera\80\ip\sopc_builder_ip\altera_avalon_st_bytes_to_packets+C:\altera\80\ip\sopc_builder_ip\altera_avalon_st_idle_inserter+C:\altera\80\ip\sopc_builder_ip\altera_avalon_st_idle_remover+C:\altera\80\ip\sopc_builder_ip\altera_avalon_st_packets_to_bytes+C:\altera\80\ip\sopc_builder_ip\altera_avalon_video_sync_generator+C:\altera\80\ip\sopc_builder_ip\altera_jtag_avalon_master+C:\altera\80\ip\sopc_builder_ip\altera_avalon_jtag_phy+C:\altera\80\ip\nios2_ip+C:\altera\80\ip\ddr_high_perf\lib\ip_toolbench+C:\altera\80\ip\ddr_high_perf\lib\sopc_builder+C:\altera\80\ip\ddr2_high_perf\lib\ip_toolbench+C:\altera\80\ip\ddr2_high_perf\lib\sopc_builder+C:\altera\80\ip\ddr3_high_perf\lib\ip_toolbench+C:\altera\80\ip\ddr3_high_perf\lib\sopc_builder+C:\altera\80\ip\ddr_ddr2_sdram\lib\sopc_builder+C:\altera\80\ip\sopc_builder_ip\altera_avalon_pci_lite+C:\altera\80\ip\rapidio\lib\ip_toolbench+C:\altera\80\ip\sls\usb20hr_ocp_eval_pack-v2.0\sls_avalon_usb20hr+C:\altera\80\ip\sopc_builder_ip\altera_avalon_spislave_to_avalonmm_bridge+C:\altera\80\ip\sopc_builder_ip\altera_avalon_spi_phy_slave+C:\altera\80\ip\triple_speed_ethernet\lib\ip_toolbench+C:\altera\80\ip\triple_speed_ethernet\lib\sopc_builder++c:/altera/80/quartus/../ip/sopc_builder_ip+c:/altera/80/quartus/../ip/nios2_ip"